NN11 MEX1 CL RG 20130211.073652.000 20130211.073706.000 20130211.073950.000 STC 1 DAP_Started 165 1. No Yes 3067816480. 260565407. EPD_from_configuration No No 0 UlmMode = "Normal" ; // UlmCarNomLvl = 4 ; // dBm UlmCarTstLvl = 0.0 ; // dB UlmCarSpecInv = No ; // UlmPrior = No ; // UlmTcSrc = "IP1 TC1" ; // UlmTcDataCoding = "NRZ-L" ; // UlmTcTceMode = "Normal" ; // UlmTcModIdx_Ana = 0.0000 ; // rad/V UlmTcModIdx_Dig = 1.000 ; // rad UlmTcMod = "PM on sub-carrier" ; // UlmTcRCBRateN = 1 ; // UlmTcRCBRateD = 8 ; // UlmTcSCBRateP = 100.00 ; // bit/s UlmTcSCBRateQ = 100.00 ; // bit/s UlmTcUnbalRatio = -15.0 ; // dB UlmTcSqWavSubc = No ; // UlmTcRCBRateSel = No ; // UlmTcRCIrrBRate = 2000.0000 ; // bit/s UlmTcSubF = 16000.000 ; // Hz UlmRampTime = 0.00 ; // s UlSweep_AllowTC = No ; // UlSweep_AllowRG = No ; // UlSweep_StartOffset = -10000 ; // Hz UlSweep_3LegRange = 10000 ; // Hz UlSweep_3LegRate = 500 ; // Hz/s UlSweep_3LegInitRate = 1000000 ; // Hz/s UlSweep_3LegDpPred = No ; // UlSweep_NumberOfLegs = 2 ; // UlSweep_Leg01EndFrq = 40000 ; // Hz UlSweep_Leg01Rate = 500 ; // Hz/s UlSweep_Leg01HoldDur = 0 ; // s UlSweep_Leg02EndFrq = 0 ; // Hz UlSweep_Leg02Rate = 500 ; // Hz/s UlSweep_Leg02HoldDur = 0 ; // s UlSweep_Leg03EndFrq = -1500000 ; // Hz UlSweep_Leg03Rate = 1 ; // Hz/s UlSweep_Leg03HoldDur = 0 ; // s UlSweep_Leg04EndFrq = -1500000 ; // Hz UlSweep_Leg04Rate = 1 ; // Hz/s UlSweep_Leg04HoldDur = 0 ; // s UlSweep_Leg05EndFrq = -1500000 ; // Hz UlSweep_Leg05Rate = 1 ; // Hz/s UlSweep_Leg05HoldDur = 0 ; // s UlSweep_Leg06EndFrq = -1500000 ; // Hz UlSweep_Leg06Rate = 1 ; // Hz/s UlSweep_Leg06HoldDur = 0 ; // s UlSweep_Leg07EndFrq = -1500000 ; // Hz UlSweep_Leg07Rate = 1 ; // Hz/s UlSweep_Leg07HoldDur = 0 ; // s UlSweep_Leg08EndFrq = -1500000 ; // Hz UlSweep_Leg08Rate = 1 ; // Hz/s UlSweep_Leg08HoldDur = 0 ; // s UlSweep_Leg09EndFrq = -1500000 ; // Hz UlSweep_Leg09Rate = 1 ; // Hz/s UlSweep_Leg09HoldDur = 0 ; // s UlSweep_Leg10EndFrq = -1500000 ; // Hz UlSweep_Leg10Rate = 1 ; // Hz/s UlSweep_Leg10HoldDur = 0 ; // s UlSweep_MulStopRate = 1000000 ; // Hz/s FreqUlmCarFrSel = "230MHz" ; // FreqUlmCarFrOffs = 282 ; // Hz FreqSpecInv = No ; // FreqUplkConv = 6937040000 ; // Hz FreqCoherTrs = Yes ; // FreqTR1 = 1 ; // FreqTR2 = 1 ; // FreqDnlkCF = 7167040282 ; // Hz FreqDnlkConv = 7096840000 ; // Hz FreqPnSatTrsType = "Regenerative" ; // RgdPolarisation = "X" ; // RgdPhEst = 0.00 ; // T RgdPostProc = 1 ; // RgdExpCN0Avail = Yes ; // RgdExpCN0 = 46 ; // dBHz RgdCFrUnc = 100000 ; // Hz RgdCFrRateUnc = 1000 ; // Hz/s RgdCAcqMode = "FFT2" ; // RgdUseAcq = Yes ; // RgdCLpNoBw = 100.0 ; // Hz RgdCLpOrder = 2 ; // RgdCLp_ChgDel = "STEP" ; // RgdTLpBw = 1.260 ; // Hz RgdTLPreSt = Yes ; // RgdTLp_ChgDel = "STEP" ; // D1Dur = 72000 ; // s D1SplPer = "1" ; // s D1MaxDs = 3600 ; // D1DSetKind = "CL" ; // D1Source = "RCD" ; // D2Dur = 72000 ; // s D2SplPer = "10" ; // s D2MaxDs = 360 ; // D2DSetKind = "CL" ; // D2Source = "RGD" ; // G1Dur = 72000 ; // s G1SplPer = 1.0 ; // s G1MaxDs = 3600 ; // G1DSetKind = "CL" ; // G1Source = "RCD" ; // G2Dur = 72000 ; // s G2SplPer = 10.0 ; // s G2MaxDs = 360 ; // G2DSetKind = "CL" ; // G2Source = "RGD" ; // MeDur = 72000 ; // s MeSplPer = 60 ; // s MeMaxDs = 60 ; // MeDSetKind = "CL" ; // OLDSetKind = "RS" ; // RgDur = 72000 ; // s RgSplPer = 1 ; // s RgMaxDs = 3600 ; // RgDSetKind = "CL" ; // RgToneF = 1061683.200 ; // Hz RgToneTxModInd = 0.700 ; // rad RgToneRxModInd = 0.2 ; // rad RgToneInteg = 1.0 ; // s RgToneSettl = 1.0 ; // s RgCodeModInd = "High&Low" ; // RgCodeMax = 14 ; // RgCodeInteg = 0.5 ; // s RgCodeRestart = Yes ; // RgCodeRepet = No ; // RgDualRanging = "no" ; // RgDualSlaveIfms = "None" ; // Epd = 0.00 ; // s EpdDer = 0.000000000 ; // s/s EpdTime = "19700101.000000.000" ; // RgPnSignalType = "PN-T2B-Sine" ; // RgPnExtendedHarm = No ; // RgPnUpLkChipRate = No ; // RgPnUpLkRate_L = 1 ; // RgPnUpLkRate_K = 6 ; // RgPnExplChipRate = 0.000 ; // Hz RgPnAcqDurT4b = 1.0 ; // s RgPnAcqDurT2b = 1.0 ; // s UCDur = 10 ; // s UCMaxDs = 10 ; // UCDSetKind = "Frequency" ; // StationId = "NN11" ; // MissionId = "MEX1" ; // SpacecraftId = "MEX1" ; // RcdPolarisation = "X" ; // RcdPhEst = 0.00 ; // T RcdPostProc = 1 ; // RcdExpCN0Avail = Yes ; // RcdExpCN0 = 46 ; // dBHz RcdCFrUnc = 100000 ; // Hz RcdCFrRateUnc = 1000 ; // Hz/s RcdCAcqMode = "FFT2" ; // RcdUseAcq = Yes ; // RcdCLpNoBw = 100.0 ; // Hz RcdCLpOrder = 2 ; // RcdCLp_ChgDel = "STEP" ; // RcdTLpBw = 0.00020 ; // fsr RcdTLpOrder = 2 ; // RcdTLpPhEst = "DD" ; // RcdTLp_ChgDel = "STEP" ; // RcdSCLpFreq = 0.00 ; // Hz RcdSCLpPreSt = Yes ; // RcdSCLpBw = 0.00020 ; // fsr RcdSCLpModInd = 1.10 ; // rad RcdSCLpPhEst = "Decision directed" ; // RcdSCLpAcq = "None" ; // RcdSCLpBitNum = 1 ; // RcdSCLpBitDen = 1 ; // RcdSCLpSqWavSc = Yes ; // RcdSCLpSRateUsed = Yes ; // RcdSCLpSRate = 131072.00 ; // sps RcdSCLpDecodMode = "NRZ-L" ; // RcdSCLp_ChgDel = "STEP" ; // ScdPolarisation = "X" ; // ScdPhEst = 0.00 ; // T ScdPostProc = 1 ; // ScdExpCN0Avail = No ; // ScdExpCN0 = 6 ; // dBHz ScdCFrUnc = 0 ; // Hz ScdCFrRateUnc = 0 ; // Hz/s ScdCAcqMode = "Sweep" ; // ScdUseAcq = No ; // ScdCLpNoBw = 0.1 ; // Hz ScdCLpOrder = 1 ; // ScdCLpPhEst = "RCD" ; // ScdCLp_ChgDel = "STEP" ; // ScdTLpBw = 0.00001 ; // fsr ScdTLpOrder = 1 ; // ScdTLpPhEst = "DD" ; // ScdTLp_ChgDel = "STEP" ; // ScdModFormat = "Off" ; // ScdModPRate = 100 ; // sps ScdModQRate = 100 ; // sps ScdModExpBalAv = No ; // ScdModExpBal = 0.0 ; // dB ScdModIChCoding = "NRZ-L" ; // ScdModQChCoding = "NRZ-L" ; // ScdMchPulse = No ; // ScdMchCosine = No ; // ScdMchExcBw = 20 ; // % GmdPolarisation = "X" ; // GmdPhEst = 0.00 ; // T GmdPostProc = 1 ; // GmdExpCN0Avail = Yes ; // GmdExpCN0 = 65 ; // dBHz GmdCFrUnc = 100000 ; // Hz GmdCFrRateUnc = 1000 ; // Hz/s GmdCAcqMode = "Sweep" ; // GmdUseAcq = Yes ; // GmdCLpNoBw = 3000.0 ; // Hz GmdCLpOrder = 2 ; // GmdCLp_ChgDel = "STEP" ; // GmdTLpBw = 0.00001 ; // fsr GmdTLpOrder = 1 ; // GmdTLpPhEst = "DD" ; // GmdTLp_ChgDel = "STEP" ; // GmdBitRate = 3441300 ; // bit/s GmdModCoding = "NRZ-L swap'd" ; // GmdBTbSelection = "0.25" ; //
// Number SampleTime Delay Code AmbF SpCF SpTF CorF KD-1 RecF ToneLevel CodeLevel PhaseError ToneLoopSN DownModIndex 15 20130211.073706.000 2.532240114283e-07 0 No No No Yes 2.28083368925e-06 Yes -8.2 0.000 0.000 59.99629454882 0.731 16 20130211.073707.000 2.528571345291e-07 0 No No No Yes 0 Yes -8.2 0.000 0.000 59.99629454882 0.728 17 20130211.073708.000 3.078644049082e-06 2 No No No Yes -1.867395127419e-13 Yes -8.3 0.000 0.000 60.09629454882 0.721 18 20130211.073709.000 1.249673646554e-05 4 No No No Yes 2.45803377652e-13 Yes -8.2 -0.957 0.000 59.99629454882 0.728 19 20130211.073710.000 4.961720834592e-06 6 No No No Yes 2.45803377652e-13 Yes -8.3 -0.957 0.000 59.89629454882 0.724 20 20130211.073711.000 0.0001255248233684 8 No No No Yes 2.040589919261e-13 Yes -8.2 -0.962 0.000 60.19629454882 0.728 21 20130211.073712.000 4.961853630643e-06 10 No No No Yes -2.216005157152e-13 Yes -8.3 0.956 0.000 60.29629454882 0.722 22 20130211.073713.000 0.001933973964391 12 No No No Yes 1.27231558622e-13 Yes -8.3 -0.960 0.000 59.99629454882 0.724 23 20130211.073714.000 4.962005265726e-06 14 No No No Yes 5.040412531798e-14 Yes -8.3 0.956 0.000 60.09629454882 0.723 24 20130211.073715.000 4.962278882575e-06 14 No No No Yes 5.040412531798e-14 Yes -8.3 0.956 0.000 60.09629454882 0.721 25 20130211.073716.000 4.962317023807e-06 14 Yes No No Yes 3.015365734882e-13 Yes -8.3 0.960 0.000 60.39629454882 0.726 26 20130211.073717.000 4.961950146908e-06 14 Yes No No Yes -4.019007349143e-14 Yes -8.3 0.961 0.000 60.39629454882 0.726 27 20130211.073718.000 4.962233183273e-06 14 Yes No No Yes 1.48103751485e-13 Yes -8.3 0.962 0.000 60.39629454882 0.724 28 20130211.073719.000 4.961988753064e-06 14 Yes No No Yes -1.867395127419e-13 Yes -8.4 0.968 0.000 60.09629454882 0.719 29 20130211.073720.000 4.962592035207e-06 14 Yes No No Yes -1.867395127419e-13 Yes -8.4 0.968 0.000 60.09629454882 0.718 30 20130211.073721.000 4.96235702517e-06 14 Yes No No Yes -1.867395127419e-13 Yes -8.3 0.971 0.000 59.99629454882 0.722 31 20130211.073722.000 4.961971310994e-06 14 Yes No No Yes 9.925393840149e-14 Yes -8.4 0.968 0.000 60.09629454882 0.718 32 20130211.073723.000 4.962329698662e-06 14 Yes No No Yes -2.006173005498e-13 Yes -8.4 0.967 0.000 60.19629454882 0.718 33 20130211.073724.000 4.962584476479e-06 14 Yes No No Yes -1.308952946033e-13 Yes -8.3 0.969 0.000 60.19629454882 0.723 34 20130211.073725.000 4.961567689824e-06 14 Yes No No Yes -1.308952946033e-13 Yes -8.3 0.969 0.000 60.19629454882 0.723 35 20130211.073726.000 4.962142713639e-06 14 Yes No No Yes -4.718447854657e-14 Yes -8.2 0.966 0.000 59.89629454882 0.728 36 20130211.073727.000 4.962067826821e-06 14 Yes No No Yes -4.019007349143e-14 Yes -8.2 0.963 0.000 59.99629454882 0.73 37 20130211.073728.000 4.961682112644e-06 14 Yes No No Yes -1.920685832601e-14 Yes -8.2 0.964 0.000 60.19629454882 0.729 38 20130211.073729.000 4.961965149009e-06 14 Yes No No Yes -2.494671136333e-13 Yes -8.2 0.964 0.000 59.99629454882 0.728 39 20130211.073730.000 4.961626532412e-06 14 Yes No No Yes -2.494671136333e-13 Yes -8.3 0.964 0.000 59.89629454882 0.727 40 20130211.073731.000 4.962163881672e-06 14 Yes No No Yes -1.448841047136e-13 Yes -8.2 0.963 0.000 60.39629454882 0.731 41 20130211.073732.000 4.961702814877e-06 14 Yes No No Yes -8.20454815198e-14 Yes -8.2 0.961 0.000 60.29629454882 0.73 42 20130211.073733.000 4.961477223699e-06 14 Yes No No Yes 2.45803377652e-13 Yes -8.2 0.958 0.000 60.39629454882 0.728 43 20130211.073734.000 4.962033410236e-06 14 Yes No No Yes 9.925393840149e-14 Yes -8.2 0.959 0.000 60.29629454882 0.731 44 20130211.073735.000 4.962005619037e-06 14 Yes No No Yes 9.925393840149e-14 Yes -8.3 0.959 0.000 60.19629454882 0.725 45 20130211.073736.000 4.961629323924e-06 14 Yes No No Yes -2.620126338115e-14 Yes -8.3 0.961 0.000 60.29629454882 0.726 46 20130211.073737.000 4.961959454579e-06 14 Yes No No Yes -8.903988657494e-14 Yes -8.3 0.962 0.000 60.49629454882 0.725 47 20130211.073738.000 4.962939496087e-06 14 Yes No No Yes 1.554312234475e-14 Yes -8.3 0.966 0.000 60.19629454882 0.723 48 20130211.073739.000 4.962280630861e-06 14 Yes No No Yes 2.249311847891e-13 Yes -8.3 0.965 0.000 60.49629454882 0.722 49 20130211.073740.000 4.961734793686e-06 14 Yes No No Yes 2.249311847891e-13 Yes -8.3 0.965 0.000 60.49629454882 0.721 50 20130211.073741.000 4.962093180915e-06 14 Yes No No Yes -2.634559237436e-13 Yes -8.3 0.967 0.000 60.09629454882 0.726 51 20130211.073742.000 4.96193352284e-06 14 Yes No No Yes 2.953193245503e-14 Yes -8.3 0.965 0.000 60.09629454882 0.725 52 20130211.073743.000 4.962273071915e-06 14 Yes No No Yes -2.006173005498e-13 Yes -8.2 0.964 0.000 60.19629454882 0.73 53 20130211.073744.000 4.961585950773e-06 14 Yes No No Yes -4.019007349143e-14 Yes -8.2 0.958 0.000 59.99629454882 0.729 54 20130211.073745.000 4.961982014311e-06 14 Yes No No Yes -4.019007349143e-14 Yes -8.2 0.958 0.000 59.99629454882 0.73 55 20130211.073746.000 4.962274468438e-06 14 Yes No No Yes -1.308952946033e-13 Yes -8.2 0.959 0.000 59.99629454882 0.731 56 20130211.073747.000 4.962256096084e-06 14 Yes No No Yes -1.517674874663e-13 Yes -8.3 0.959 0.000 59.79629454882 0.727 57 20130211.073748.000 4.962124694802e-06 14 Yes No No Yes 1.68975944348e-13 Yes -8.2 0.959 0.000 60.19629454882 0.729 58 20130211.073749.000 4.962473662515e-06 14 Yes No No Yes 1.48103751485e-13 Yes -8.2 0.960 0.000 59.89629454882 0.735 59 20130211.073750.000 4.961824215928e-06 14 Yes No No Yes 1.48103751485e-13 Yes -8.2 0.960 0.000 59.89629454882 0.731 60 20130211.073751.000 4.962427498126e-06 14 Yes No No Yes -4.019007349143e-14 Yes -8.2 0.961 0.000 60.19629454882 0.732 61 20130211.073752.000 4.962022946178e-06 14 Yes No No Yes 1.554312234475e-15 Yes -8.1 0.959 0.000 60.29629454882 0.736 62 20130211.073753.000 4.962324819602e-06 14 Yes No No Yes 1.829647544582e-13 Yes -8.2 0.959 0.000 59.99629454882 0.732 63 20130211.073754.000 4.961910848193e-06 14 Yes No No Yes 1.554312234475e-14 Yes -8.2 0.959 0.000 59.99629454882 0.732 64 20130211.073755.000 4.96219388412e-06 14 Yes No No Yes 1.554312234475e-14 Yes -8.2 0.959 0.000 59.99629454882 0.732 65 20130211.073756.000 4.961892940323e-06 14 Yes No No Yes 9.925393840149e-14 Yes -8.2 0.958 0.000 60.09629454882 0.73 66 20130211.073757.000 4.961629673713e-06 14 Yes No No Yes -2.913225216616e-13 Yes -8.2 0.959 0.000 60.29629454882 0.733 67 20130211.073758.000 4.961677233584e-06 14 Yes No No Yes 1.68975944348e-13 Yes -8.2 0.958 0.000 60.29629454882 0.731 68 20130211.073759.000 4.961866080053e-06 14 Yes No No Yes -1.920685832601e-14 Yes -8.2 0.961 0.000 60.39629454882 0.728 69 20130211.073800.000 4.962007828943e-06 14 Yes No No Yes -1.920685832601e-14 Yes -8.2 0.961 0.000 60.39629454882 0.729 70 20130211.073801.000 4.961659791076e-06 14 Yes No No Yes 6.439293542826e-14 Yes -8.3 0.962 0.000 60.19629454882 0.725 71 20130211.073802.000 4.962131207233e-06 14 Yes No No Yes 1.554312234475e-15 Yes -8.3 0.963 0.000 60.19629454882 0.726 72 20130211.073803.000 4.962301207652e-06 14 Yes No No Yes 5.750955267558e-14 Yes -8.3 0.963 0.000 60.19629454882 0.727 73 20130211.073804.000 4.961557578187e-06 14 Yes No No Yes 1.829647544582e-13 Yes -8.3 0.968 0.000 59.99629454882 0.723 74 20130211.073805.000 4.961661645504e-06 14 Yes No No Yes 1.829647544582e-13 Yes -8.3 0.968 0.000 59.99629454882 0.725 75 20130211.073806.000 4.961643280168e-06 14 Yes No No Yes 1.554312234475e-14 Yes -8.3 0.969 0.000 59.99629454882 0.724 76 20130211.073807.000 4.96216178283e-06 14 Yes No No Yes 7.149836278586e-14 Yes -8.3 0.969 0.000 60.19629454882 0.723 77 20130211.073808.000 4.961889103831e-06 14 Yes No No Yes 1.27231558622e-13 Yes -8.3 0.967 0.000 60.19629454882 0.725 78 20130211.073809.000 4.961851885866e-06 14 Yes No No Yes 5.750955267558e-14 Yes -8.4 0.968 0.000 59.89629454882 0.72 79 20130211.073810.000 4.962144347449e-06 14 Yes No No Yes 5.750955267558e-14 Yes -8.3 0.968 0.000 59.99629454882 0.723 80 20130211.073811.000 4.962417956842e-06 14 Yes No No Yes -1.232347557334e-14 Yes -8.3 0.968 0.000 60.39629454882 0.722 81 20130211.073812.000 4.961712003686e-06 14 Yes No No Yes -3.319566843629e-14 Yes -8.3 0.969 0.000 60.39629454882 0.722 82 20130211.073813.000 4.961938517692e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.3 0.968 0.000 60.49629454882 0.724 83 20130211.073814.000 4.961694095377e-06 14 Yes No No Yes 5.040412531798e-14 Yes -8.3 0.967 0.000 60.39629454882 0.722 84 20130211.073815.000 4.962080732382e-06 14 Yes No No Yes 5.040412531798e-14 Yes -8.3 0.967 0.000 60.39629454882 0.725 85 20130211.073816.000 4.96158199805e-06 14 Yes No No Yes -5.329070518201e-15 Yes -8.3 0.967 0.000 60.39629454882 0.725 86 20130211.073817.000 4.961582455955e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.3 0.963 0.000 60.59629454882 0.726 87 20130211.073818.000 4.961818403513e-06 14 Yes No No Yes 1.68975944348e-13 Yes -8.3 0.963 0.000 60.29629454882 0.723 88 20130211.073819.000 4.96257238234e-06 14 Yes No No Yes -1.232347557334e-14 Yes -8.3 0.963 0.000 60.29629454882 0.726 89 20130211.073820.000 4.961951199564e-06 14 Yes No No Yes -1.232347557334e-14 Yes -8.3 0.963 0.000 60.29629454882 0.726 90 20130211.073821.000 4.962008172811e-06 14 Yes No No Yes 1.620925615953e-13 Yes -8.3 0.961 0.000 60.19629454882 0.723 91 20130211.073822.000 4.962197024543e-06 14 Yes No No Yes -2.913225216616e-13 Yes -8.3 0.963 0.000 60.59629454882 0.724 92 20130211.073823.000 4.961820722426e-06 14 Yes No No Yes 4.352074256531e-14 Yes -8.3 0.965 0.000 60.49629454882 0.726 93 20130211.073824.000 4.9620755077e-06 14 Yes No No Yes 1.554312234475e-15 Yes -8.3 0.965 0.000 60.59629454882 0.726 94 20130211.073825.000 4.961934680761e-06 14 Yes No No Yes 1.554312234475e-15 Yes -8.2 0.965 0.000 60.69629454882 0.733 95 20130211.073826.000 4.961963409934e-06 14 Yes No No Yes -5.417888360171e-14 Yes -8.3 0.963 0.000 60.39629454882 0.727 96 20130211.073827.000 4.96165304092e-06 14 Yes No No Yes 1.132427485118e-13 Yes -8.2 0.961 0.000 60.49629454882 0.731 97 20130211.073828.000 4.962020854683e-06 14 Yes No No Yes -7.505107646466e-14 Yes -8.2 0.959 0.000 60.59629454882 0.729 98 20130211.073829.000 4.962040150743e-06 14 Yes No No Yes -1.920685832601e-14 Yes -8.2 0.963 0.000 60.29629454882 0.73 99 20130211.073830.000 4.962172488889e-06 14 Yes No No Yes -1.920685832601e-14 Yes -8.3 0.963 0.000 60.19629454882 0.726 100 20130211.073831.000 4.961871538074e-06 14 Yes No No Yes 3.015365734882e-13 Yes -8.2 0.960 0.000 60.29629454882 0.73 101 20130211.073832.000 4.961872011769e-06 14 Yes No No Yes -6.106226635438e-14 Yes -8.2 0.957 0.000 60.29629454882 0.731 102 20130211.073833.000 4.961608737264e-06 14 Yes No No Yes 1.341149413747e-13 Yes -8.2 0.959 0.000 60.49629454882 0.729 103 20130211.073834.000 4.961712818617e-06 14 Yes No No Yes -2.424727085781e-13 Yes -8.2 0.961 0.000 60.39629454882 0.732 104 20130211.073835.000 4.962344350755e-06 14 Yes No No Yes -2.424727085781e-13 Yes -8.3 0.961 0.000 60.29629454882 0.728 105 20130211.073836.000 4.961864451946e-06 14 Yes No No Yes 2.249311847891e-13 Yes -8.2 0.959 0.000 60.69629454882 0.73 106 20130211.073837.000 4.961780139471e-06 14 Yes No No Yes -1.797451076868e-13 Yes -8.2 0.957 0.000 60.69629454882 0.73 107 20130211.073838.000 4.962138533718e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.2 0.958 0.000 60.69629454882 0.731 108 20130211.073839.000 4.961978869392e-06 14 Yes No No Yes -3.319566843629e-14 Yes -8.2 0.960 0.000 60.39629454882 0.729 109 20130211.073840.000 4.961866313611e-06 14 Yes No No Yes -3.319566843629e-14 Yes -8.2 0.960 0.000 60.39629454882 0.73 110 20130211.073841.000 4.962092827837e-06 14 Yes No No Yes 9.237055564881e-14 Yes -8.2 0.960 0.000 60.09629454882 0.732 111 20130211.073842.000 4.961848405961e-06 14 Yes No No Yes -4.718447854657e-14 Yes -8.2 0.960 0.000 60.09629454882 0.732 112 20130211.073843.000 4.962008986864e-06 14 Yes No No Yes -5.417888360171e-14 Yes -8.3 0.960 0.000 60.29629454882 0.726 113 20130211.073844.000 4.961849335807e-06 14 Yes No No Yes 2.264854970235e-14 Yes -8.2 0.965 0.000 60.39629454882 0.729 114 20130211.073845.000 4.961793280125e-06 14 Yes No No Yes 2.264854970235e-14 Yes -8.2 0.965 0.000 60.39629454882 0.731 115 20130211.073846.000 4.961605372274e-06 14 Yes No No Yes 2.45803377652e-13 Yes -8.3 0.963 0.000 60.29629454882 0.727 116 20130211.073847.000 4.962057942382e-06 14 Yes No No Yes -5.417888360171e-14 Yes -8.2 0.963 0.000 60.39629454882 0.728 117 20130211.073848.000 4.961559208049e-06 14 Yes No No Yes -2.620126338115e-14 Yes -8.3 0.962 0.000 60.49629454882 0.725 118 20130211.073849.000 4.962115387569e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.3 0.965 0.000 60.49629454882 0.724 119 20130211.073850.000 4.961682584585e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.3 0.965 0.000 60.49629454882 0.726 120 20130211.073851.000 4.962530753308e-06 14 Yes No No Yes -1.727507026317e-13 Yes -8.3 0.964 0.000 59.89629454882 0.725 121 20130211.073852.000 4.962041438492e-06 14 Yes No No Yes -9.603429163008e-14 Yes -8.3 0.966 0.000 60.09629454882 0.725 122 20130211.073853.000 4.962088990468e-06 14 Yes No No Yes 2.953193245503e-14 Yes -8.3 0.968 0.000 60.19629454882 0.727 123 20130211.073854.000 4.961740959956e-06 14 Yes No No Yes 1.27231558622e-13 Yes -8.3 0.967 0.000 60.09629454882 0.723 124 20130211.073855.000 4.961741418181e-06 14 Yes No No Yes 1.27231558622e-13 Yes -8.3 0.967 0.000 60.09629454882 0.726 125 20130211.073856.000 4.961694795393e-06 14 Yes No No Yes 5.750955267558e-14 Yes -8.3 0.965 0.000 59.69629454882 0.727 126 20130211.073857.000 4.9611489512e-06 14 Yes No No Yes -3.123057368271e-13 Yes -8.3 0.963 0.000 59.79629454882 0.727 127 20130211.073858.000 4.962081905216e-06 14 Yes No No Yes 4.340972026284e-13 Yes -8.3 0.962 0.000 59.99629454882 0.726 128 20130211.073859.000 4.96185630702e-06 14 Yes No No Yes 3.43503003819e-13 Yes -8.2 0.965 0.000 59.79629454882 0.728 129 20130211.073900.000 4.962224119906e-06 14 Yes No No Yes 3.43503003819e-13 Yes -8.3 0.965 0.000 59.69629454882 0.726 130 20130211.073901.000 4.962120969277e-06 14 Yes No No Yes 2.953193245503e-14 Yes -8.3 0.966 0.000 60.39629454882 0.727 131 20130211.073902.000 4.962479364401e-06 14 Yes No No Yes -2.006173005498e-13 Yes -8.2 0.965 0.000 60.19629454882 0.728 132 20130211.073903.000 4.962008871072e-06 14 Yes No No Yes 1.900701818158e-13 Yes -8.3 0.965 0.000 60.19629454882 0.726 133 20130211.073904.000 4.962037601561e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.3 0.963 0.000 60.39629454882 0.726 134 20130211.073905.000 4.961830854678e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.3 0.963 0.000 60.39629454882 0.726 135 20130211.073906.000 4.962123301787e-06 14 Yes No No Yes 1.554312234475e-15 Yes -8.2 0.962 0.000 60.19629454882 0.731 136 20130211.073907.000 4.962076693692e-06 14 Yes No No Yes -5.417888360171e-14 Yes -8.2 0.962 0.000 60.19629454882 0.731 137 20130211.073908.000 4.961559106293e-06 14 Yes No No Yes -1.029176743828e-13 Yes -8.2 0.963 0.000 59.99629454882 0.733 138 20130211.073909.000 4.961700849919e-06 14 Yes No No Yes -9.603429163008e-14 Yes -8.3 0.961 0.000 60.09629454882 0.727 139 20130211.073910.000 4.962238191092e-06 14 Yes No No Yes -9.603429163008e-14 Yes -8.3 0.961 0.000 60.09629454882 0.727 140 20130211.073911.000 4.962219839325e-06 14 Yes No No Yes 1.554312234475e-15 Yes -8.2 0.963 0.000 60.69629454882 0.729 141 20130211.073912.000 4.961513871914e-06 14 Yes No No Yes 4.352074256531e-14 Yes -8.2 0.962 0.000 60.49629454882 0.729 142 20130211.073913.000 4.961438978078e-06 14 Yes No No Yes 1.554312234475e-15 Yes -8.2 0.964 0.000 60.39629454882 0.729 143 20130211.073914.000 4.961787939651e-06 14 Yes No No Yes 9.237055564881e-14 Yes -8.2 0.961 0.000 60.59629454882 0.731 144 20130211.073915.000 4.962061576676e-06 14 Yes No No Yes 9.237055564881e-14 Yes -8.2 0.961 0.000 60.59629454882 0.73 145 20130211.073916.000 4.961958426047e-06 14 Yes No No Yes -5.417888360171e-14 Yes -8.2 0.961 0.000 60.09629454882 0.733 146 20130211.073917.000 4.961987141623e-06 14 Yes No No Yes -1.378896996584e-13 Yes -8.2 0.962 0.000 59.89629454882 0.732 147 20130211.073918.000 4.961780381582e-06 14 Yes No No Yes 8.526512829121e-14 Yes -8.2 0.962 0.000 59.89629454882 0.728 148 20130211.073919.000 4.961856220176e-06 14 Yes No No Yes 1.341149413747e-13 Yes -8.2 0.963 0.000 60.09629454882 0.729 149 20130211.073920.000 4.961621202464e-06 14 Yes No No Yes 1.341149413747e-13 Yes -8.3 0.963 0.000 59.99629454882 0.727 150 20130211.073921.000 4.961810040162e-06 14 Yes No No Yes -1.232347557334e-14 Yes -8.3 0.965 0.000 60.29629454882 0.725 151 20130211.073922.000 4.962121326303e-06 14 Yes No No Yes 7.838174553854e-14 Yes -8.3 0.964 0.000 59.99629454882 0.725 152 20130211.073923.000 4.961848662107e-06 14 Yes No No Yes -1.099120794379e-13 Yes -8.3 0.966 0.000 60.09629454882 0.725 153 20130211.073924.000 4.961980986217e-06 14 Yes No No Yes -1.16906484493e-13 Yes -8.2 0.966 0.000 60.39629454882 0.729 154 20130211.073925.000 4.961661198126e-06 14 Yes No No Yes -1.16906484493e-13 Yes -8.2 0.966 0.000 60.39629454882 0.73 155 20130211.073926.000 4.96163339858e-06 14 Yes No No Yes 4.352074256531e-14 Yes -8.3 0.965 0.000 59.99629454882 0.727 156 20130211.073927.000 4.962104835132e-06 14 Yes No No Yes -1.029176743828e-13 Yes -8.2 0.962 0.000 60.19629454882 0.729 157 20130211.073928.000 4.961888656014e-06 14 Yes No No Yes 8.526512829121e-14 Yes -8.2 0.964 0.000 59.89629454882 0.729 158 20130211.073929.000 4.961936209305e-06 14 Yes No No Yes -6.805667140952e-14 Yes -8.2 0.960 0.000 60.19629454882 0.73 159 20130211.073930.000 4.962219237337e-06 14 Yes No No Yes -6.805667140952e-14 Yes -8.2 0.960 0.000 60.19629454882 0.729 160 20130211.073931.000 4.961833545091e-06 14 Yes No No Yes -1.448841047136e-13 Yes -8.2 0.962 0.000 60.49629454882 0.73 161 20130211.073932.000 4.962060059097e-06 14 Yes No No Yes 2.178257574315e-13 Yes -8.2 0.961 0.000 60.19629454882 0.732 162 20130211.073933.000 4.962380763e-06 14 Yes No No Yes 5.750955267558e-14 Yes -8.2 0.960 0.000 60.29629454882 0.729 163 20130211.073934.000 4.962221098784e-06 14 Yes No No Yes -1.936228954946e-13 Yes -8.2 0.959 0.000 60.19629454882 0.73 164 20130211.073935.000 4.962400545036e-06 14 Yes No No Yes -1.936228954946e-13 Yes -8.2 0.959 0.000 60.19629454882 0.732 165 20130211.073936.000 4.962504612353e-06 14 Yes No No Yes 4.352074256531e-14 Yes -8.2 0.959 0.000 60.19629454882 0.735 166 20130211.073937.000 4.961657361195e-06 14 Yes No No Yes -2.843281166065e-13 Yes -8.2 0.959 0.000 60.29629454882 0.73 167 20130211.073938.000 4.961874455685e-06 14 Yes No No Yes 1.341149413747e-13 Yes -8.2 0.958 0.000 60.29629454882 0.734 168 20130211.073939.000 4.962317636102e-06 14 Yes No No Yes -1.517674874663e-13 Yes -8.2 0.959 0.000 60.19629454882 0.733 169 20130211.073940.000 4.962026104804e-06 14 Yes No No Yes -1.517674874663e-13 Yes -8.2 0.959 0.000 60.19629454882 0.732 170 20130211.073941.000 4.962214942501e-06 14 Yes No No Yes -2.006173005498e-13 Yes -8.2 0.961 0.000 60.79629454882 0.732 171 20130211.073942.000 4.962083534749e-06 14 Yes No No Yes 2.249311847891e-13 Yes -8.2 0.960 0.000 60.79629454882 0.734 172 20130211.073943.000 4.962055763823e-06 14 Yes No No Yes 9.925393840149e-14 Yes -8.2 0.959 0.000 60.79629454882 0.73 173 20130211.073944.000 4.96162294768e-06 14 Yes No No Yes 3.295141937087e-13 Yes -8.2 0.958 0.000 60.69629454882 0.73 174 20130211.073945.000 4.962028423278e-06 14 Yes No No Yes 3.295141937087e-13 Yes -8.2 0.958 0.000 60.69629454882 0.731 175 20130211.073946.000 4.961849920031e-06 14 Yes No No Yes -8.20454815198e-14 Yes -8.2 0.960 0.000 60.39629454882 0.728 176 20130211.073947.000 4.96168086437e-06 14 Yes No No Yes -1.587618925214e-13 Yes -8.2 0.962 0.000 60.69629454882 0.728 177 20130211.073948.000 4.96146468569e-06 14 Yes No No Yes 3.015365734882e-13 Yes -8.2 0.963 0.000 60.59629454882 0.729 178 20130211.073949.000 4.962190406846e-06 14 Yes No No Yes -9.603429163008e-14 Yes -8.3 0.968 0.000 60.29629454882 0.723 179 20130211.073950.000 4.961880038271e-06 14 Yes No No Yes -9.603429163008e-14 Yes -8.3 0.968 0.000 60.29629454882 0.724